追記

VCのインラインアセンブラでC言語のマクロが使えない・・・

解決:一つ一つの命令に_asmをつければ#defineしたインラインアセンブラ構文も認識してくれるらしいですよ。hoshu氏に感謝。 例: #define MD5_VC_MMX_F3(d,x,y,z)\ _asm pxor y,z\ _asm pxor x,y\ _asm movq d,x 以下、解決前の記事

MD5 optimized in MMX part3

#define MD5STEP(f, w, x, y, z, data, s) \ ( w += f(x, y, z) + data, w = w<<s | w>>(32-s), w += x )ってのがMD5のコアの部分をマクロ化した所なのですよ。*1 f()関数、rotation部*2はpart2で発見した最適化ですべてMMX化できます。 問題は足し算しているところ</s>…

MD5 optimized in MMX part2

MMXで最適化する場合、プロセスを二つ立てることくらいしか思いつかないよぉ〜 つまり mm0,mm1...それぞれに二つの32bitの対象分のデータを詰め込んで二つ同時に計算するって言う・・・MMX利用の際に考えられる初歩の初歩のテクニック。 例えば、file0とfile1が…

格ゲーコマンドの解析所感・・・やっぱFSMだなぁ〜

いざ、プログラムを組み始めてこの手の判定処理はどうも毎フレームボタンチェックしてステート変数に状態を記憶するタイプのFSMの方が何かと無理なく処理できそうだ。 上記のコンテナだって同時押し判定が出来ないので良い解決方法ではないと感じる。プログ…

格ゲーコマンド定義をぶち込むコンテナ part2

良く考えて見たら、タメの概念はどれだけボタンが押されているか?だと思う。 なので右タメボタンが1だとしたら定義はuint8 def[]={1,1,1,1,1,1}(とりあえず6フレームほど)みたいな感じで定義できるんじゃないですかな?と感じた。 タメ判定が他のボタンに…

__builtin_return_address for VCが出来たらしいですぞ

http://mainte.mine.nu/~hoshu/diary/?date=20051006 より。 感謝感謝です。 トラックバック送ってくれるとすぐに分かったので嬉しかったです。^^ via http://beautiful.homelinux.net/~sky-software/diary/?date=20051012#p01http://mainte.mine.nu/~hosh…

tools 20050910も投下

dKingyo Utility Toolkit Projectで生成されたツールの類もアップロードしました。 DxLib用ツールrota_graphやfont2texture等やシグネチャ出力ツールsignatuan,コンパイル後ごみファイル削除ツールdKingyoVCCleaner*1,簡易セキュリティ設定ツールNullSession…

Now Doxygening...

続きを読む+superpreでバグる。

C++用囲いタグがほすぃ

http://d.hatena.ne.jp/crowdeer/20050905/idea にて現状で最善のソースコードの載せ方をレポートしてます。 確かに、そうすれば良いかもしれませんが・・・何かと大変なんです。ソースチェックは・・・。 もっと気軽にソースを載せれるようになりたい。 す…

指定した文章をとある時間を過ぎたら表示するタグが欲しい。また・・・

新たに欲しい機能を思いついちゃいました。 指定した文章をとある時間を過ぎたら表示するタグが欲しい。 <hatena name="viewtime" viewtime="20051010"> 今日は体育の日 </hatena>*1 また、 未来の日付で書いた文章をすぐ公開しないでその日付になったら公開する機能が欲しい。 早速アイデア化http://i.hatena.ne.j…

superpreだと色分けできないなり!!

superpreだと色分けできないことが判明!!*1 これだとソースコードが見にくいのでどうかお願いします。 再度アイディア提出 http://i.hatena.ne.jp/idea/5774 さらに追記:何故必要なのかの例: if((NULL==p) && (size != 0)) とか /* @filename snow2.c */ …

superpre

アイディアに登録したのすでに実装されていたようです・・・TT 見落としスミマセンでした。m(__)m*1 *1:チョット、ハズカスィ〜

いろいろあってSNOW2ライブラリの設計を変えようと思う。

どうも、64バイト単位に暗号化する機構と4バイト単位で暗号化する機構を一緒に出来ないのでここは二つを分けてしまうことにした。 しかしながら、二つあっても意味無いのでプールを生成する64バイト単位のほうで実装していこうと思う。前の方針で設計したタ…

dkutil_c 0.196 の dkcGenericFileSystem.cにありえないバグ発見

0.196に入っているdkcGenericFileSystem.cの実装にありえないバグを発見。 使って見れば分かると思いますが、このありえなさっぷりには閉口するしかないくらい酷い・・・。 時がきた時に直します。

ハッシュ法備忘録

http://pc.2ch.net/tech/kako/1005/10054/1005461137.html google:ハシシュ法

GameCatapult*3製作者様からトラックバックをいただく。

http://blog4.fc2.com/sowwa/blog-entry-9.htmlより どうも、id:studiokingyoです。m(_ _)m gctpの特にutility系*1の方を使っています。*2 WTL STLPort boostとどれも使った事のあるライブラリばかりです。 WTLは今は使っていませんが*3、 STLPort と boost…

英語約を間違える・・・。先が思いやられる・・・

って、待て・・・ ちょっと曲解してた。Dropってやめるとか、取り外す?って事だよね。 と、言う事は・・・ はずしました。FXWStringとふるいテキスト変換機を。それらは今は旧いです。 あー。亡くなったんだ。やっぱりwxWidgetsか・・・。と、言う事は、旧…

HなMACでもkids gooに...の記事を書いたらはじかれた・・・?

なんか、1月7日の午後にははじかれたのですが・・・ http://kids.goo.ne.jp/cgi-bin/kgframe.cgi?TP=http%3A%2F%2Fd.hatena.ne.jp%2Fstudiokingyo&submit=check+goo もしや、アクセスする時間帯も関係あるのか?用意周到に「ごめんね 」してくれるなぁ〜 む…

なんか、変なサイトからリンクがきている。

なんか、変なサイトからリンクがきているのですが・・・ ttp://buy-viagra.web4u.gb.com/ あやしぃ。誰か分かる方いらっしゃいますか?

なんか、しばらくたったら

しばらくたったら、この日記もkids gooに見事にフィルタリングされちゃったんだけど・・・で、http://dkiroku.com/2005-01-05.html#2005-01-05-6の方からリンクをいただいた。 情報をありがとう (´Д⊂グスン うれし泣き 私も一方的な社会的差別を受けたのか?…

NVIDIAのfastmath.cppの使用条件

pol氏からfastmath.cppの使用条件に関するコメントをいただきました。 それによると条件は このファイルには保証がないよ。 このファイルを使って痛い目にあっても知らないよ。 なので、普通に使ってOKとの事です。 情報に感謝します。m(_ _)mちなみに原文を…

VC6用 Processor Packは更新されていた?

なんか、MS*1さんのPP*2ダウンロードページの更新情報をみると2000年になっているのだが、 2000年以降にダウンロードしたVCSP5*3用のPPとついさっきダウンロードしたPPのSHA1が違うのだが・・・ムゥ・・・。 後で分かったのだが、 なんか、VC6SP4用をダウン…

私、FastCopyにも感動しました。

http://www.ipmsg.org/private/ にて、公開されているFastCopyと言うツール。これもBSD Licenceで公開されている。 特に、 NT系OS の場合、UNICODE でしか表現できないファイル名や MAX_PATH(260文字) を越えた位置のファイルもコピーできます。 という所に…

fox-toolkit X-Mas releaseにて

http://www.fox-toolkit.org/ Added API's to FXStream: setBigEndian() and isBigEndian(). These force the FXStream into a particular byte order. Byte swapping will be enabled or disabled depending on the endianness of the platform. The old AP…

RLE機構のプログラムが出来ました。

これでRLEのプログラム書きなおしたの5回目だよ・・・。 セルオートマトン*1系こんな感じのプログラムを組むのを苦手とするのは致命的です・・・。ヽ(`Д´)ノウワァン 完全版は次回dkutil_cのリリースで・・・。 ちなみに下記のソースコードのライセンスはNY…

Perlでa1,a2,a3 ... を a[1] a[2] a[3]...にする

hyuki氏にPerlでの方法の情報をいただいた。感謝です。m(_ _)m use strict;my $x = ”a1,a2,a3,a4,a5,a6,a7,a8,a9,a10,a100,a12345”; # すべて変換する my $y = ”abc1,bca2,aa3,a4a”; # すべて変換しない$x =~ s/¥ba(¥d+)¥b/a[$1]/g; $y =~ s/¥ba(¥d+)¥…

Stream Cipher HC-256の実装日誌

とりあえず、チマチマ読んで ワンフレームに暗号化するところの形は分かった。実装完了。 初期化部分が今ひとつ分からない・・・論文印刷して読みこむしかないなぁ〜 ムゥ〜 実装は完了したが、どうも、バグっているらしい・・・ (´Д⊂グスン 数日後、また、…

本当に16進STG

で、早速影響が出たらしい http://d.hatena.ne.jp/yaneurao/20041220 http://d.hatena.ne.jp/ABA/20041218すばらC

AVL木・・・って・・・

・・・ちょっと、思ったのだが、某AVLソースを読みこんでそれを参考にしているのだが・・・ どうも、ほとんど同じ感じになってしまいそうなくらい良い感じに組んでいるソースを発見。 むぅ〜。って事で資料探し・・・ http://gotom.jp/~gotom/diary/?1226 む…